博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:6.6.1 在sequence 中获取参数
阅读量:4221 次
发布时间:2019-05-26

本文共 407 字,大约阅读时间需要 1 分钟。

1.在sequence 的body 中调用get_full_name(),打印返回值,结果大致如下:

1)包括sequencer 的路径,及例化此sequence 传递的名字。

2.可以使用如下的方式为sequence 传递参数:

1)通配符是因为sequence在例化时名字一般是不固定的,而且有时是未知的(比如default_sequence),所以使用通配符。

3.在sequence 中以如下方式调用config::get 函数:

1)get 的第一个参数必须是一个component,而sequence 不是component,所以这里不能用this 指针,只能用null 或者 uvm_root::get()。

2)使用null是,UVM 会自动将其替换为uvm_root::get(),再加get_full_name(),可以完整得到此sequence 的路径。

4.打印get_full_name:

你可能感兴趣的文章
jstorm源码阅读汇总(一)
查看>>
浅谈Spring AOP
查看>>
动态代理
查看>>
Java与Oracle日期格式化
查看>>
fastjson学习
查看>>
Spring 自定义标签创建
查看>>
Spring容器启动流程(上)
查看>>
Spring注解详解
查看>>
Spring容器启动流程(下)
查看>>
Spring Bean初始化实例
查看>>
深入理解Spring AOP实现
查看>>
Spring 事务管理
查看>>
重学多线程(一)—— 线程简介
查看>>
重学多线程(二)—— 线程间的通信
查看>>
重学多线程(三)—— 锁
查看>>
重学多线程(四)—— 线程池
查看>>
重学Java集合类(一)—— 集合类简介
查看>>
重学多线程(五)—— Unsafe类
查看>>
重学Java集合类(二)—— List接口
查看>>
重学Java集合类(三)—— Map接口(上)
查看>>